一品教程
发新帖

Altera? Quartus? Prime设计软件是一种多平台设计环境,在FPGA、CPLD和SoC设计的所有阶段都很容易满足您的具体需求。
革命性的 Quartus? Prime 设计软件包括了从设计输入和综合直至优化、验证和仿真各个阶段您设计 Altera? FPGA、SoC 和 CPLD所需的一切。具有数百万个逻辑单元的器件功能越来越强,为设计人员提供了理想的平台,帮助他们满足下一代设计需求。设计人员要能够高效的发挥这些器件的优势,就需要通过软件大幅度提高设计效能。新版 Quartus Prime 软件在成功的 Quartus II 软件基础上增加了 Spectra-Q? 引擎,针对 Arria? 10 以及未来的器件进行了优化,FPGA 设计效能实现了突破。
Spectra-Q 引擎包括一组更快、扩展性更好的算法,以及新的分层基础数据库和统一编译器技术,提高了下一代可编程器件的设计效能。请访问 Spectra-Q 页面,详细了解 Spectra-Q 引擎是怎样支持新工具和设计流程的开发的。
根据您的设计需求,Quartus Prime 软件提供三种版本:专业版、标准版和精简版。
Quartus Prime 专业版 — Quartus Prime 专业版适合支持实现从 Arria 10 器件系列开始的 Altera 下一代 FPGA 和 SoC 先进的特性。
Quartus Prime 标准版 — Quartus Prime 标准版为 Altera 最新的器件系列提供最全面的支持,需要订购许可。
Quartus Prime 精简版 — Quartus Prime 精简版是 Altera 大批量器件系列理想的设计起点,可以免费下载,不需要许可。
了解 Quartus Prime 设计软件的 新增特性。如果希望对比每一版本的不同特性,请访问 特性 页面。请观看这一网播,详细了解怎样为您的设计选择合适的版本。或者点击 这里,下载软件,开始设计。
第三方 EDA 工具支持
Quartus Prime 软件在整个设计流程中都能够与前沿的 第三方EDA工具 衔接。您可以采用您已经熟悉的各种设计和验证流程,提高自己的效率。
大量的培训资源
不确定怎样开始使用 Quartus Prime 软件? Altera 提供多种 培训资源和资料,帮助您学习,解决您的问题。有很多在线视频演示、交互式教程,以及在线、由教师指导的、虚拟的课程,帮助您熟悉设计工具。请阅读入门手册,您可以从中找到相关主题的详细信息。
Altera Quartus Prime 15.1 Design software | 8.8 Gb
Signaling a new era in design productivity for a new generation of programmable logic devices, Altera Corporation released the Quartus Prime 15.1 Design software. Altera’s new software environment builds upon the company’s proven, user-friendly Quartus II software and incorporates the new productivity-centric Spectra-Q engine. The new Quartus Prime design software is optimized to enhance the FPGA and SoC FPGA design process by reducing design iterations, delivering the industry’s fastest compile times, and accelerating silicon performance.
Quartus Prime design software users will experience the same easy-to-use front-end user interface as the previous software version; while the addition of the Spectra-Q engine on the back-end enables unprecedented compile time improvements and increased design performance with a new set of faster and more scalable algorithms. The engine also features a hierarchical database that preserves placement and routing of IP blocks to ensure stable designs, while eliminating unnecessary timing closure efforts and reducing compile times.
Working with early access customers, the Quartus Prime design software demonstrated significantly higher design performance and designer productivity in multiple Arria 10 designs. With the release of the Quartus Prime design software version 15.1, customers targeting Arria 10 designs will experience:
- A full speed-grade advantage on average over the previous software version with the new Hybrid Placer and Global Router algorithms.
- Up to 10X faster IO design with the new BluePrint Platform Designer.
- Up to 4X faster compile times using the software’s new Rapid Recompile feature.
- Expanded hardware description language support, including SystemVerilog-2005 and VHDL-2008.
Additional information about the features in the Quartus Prime design software version 15.1 can be found at http://www.altera.com/whatsnew
Quartus Prime Design Software Licensing Model
Quartus Prime design software is offered in three editions based on customers’ design requirements. The Quartus Prime Pro Edition offers the latest performance and productivity tools that support Altera’s latest high-performance FPGAs and SoC FPGAs. The Quartus Prime Standard Edition supports devices in Altera’s new product category and the Quartus Prime Lite Edition support Altera’s high-volume device families. The Pro and Standard editions require an annual software license, while the Lite edition is available as a free download with no license file required.
Included:
- Altera Quartus Prime 15.1.0.185 Standard Edition
- Altera Quartus Prime 15.1 Help Center
- Altera Quartus Prime 15.1.0.185 Pro Edition
About Altera
Altera Corporation has been delivering industry-leading custom logic solutions to customers since inventing the world's first reprogrammable logic device in 1984. Today, more than 3,000 employees in 19 countries are providing even more ingenious custom logic solutions which include FPGAs, SoCs, CPLDs and power management products.
Altera's broad portfolio of custom-logic solutions address a wide variety of system-level challenges, including performance, power consumption, total cost of ownership, board area, time-to-market and design team productivity. Altera products are used by industry-leading corporations in many different industries, including automotive, broadcast, computer and storage, consumer, industrial, medical, military, test and measurement, wireless and wireline.
In addition to custom logic silicon and power management solutions, Altera's portfolio includes fully integrated software development tools, versatile embedded processors, optimized intellectual property (IP) cores, reference designs examples and development kits.
Name: Altera Quartus Prime Design software
Version: 15.1.0.185
Interface: english
OS: Windows / Linux
Size: 8.8 Gb
本站首发,永久链接:https://www.yipinsucai.com/thread-45650-1-1.html
收藏0 0 反对0
分享
Altera Quartus Prime 15.1 Design Software Win/Linux
  • 百度链接:http://pan.baidu.com/s/1bnc8ZWr
  • 提取码:mi9c
  • 阿里云(不限速):
  • 天翼链接(不限速):
  • 天翼提取:
  • 115链接:
  • 备用地址: http://pan.baidu.com/s/1bnc8ZWr 密码: mi9c
  • 解压密码:
  • 资源类型:
  • 文件大小: MB
  • 发布时间:2016-4-19 21:23
  • 人       气:3148
  • 回复数量:0
  • 猜您喜欢
  • 热门素材
  • 下载排行
  • 最新资源

微信订阅号

Copyright   ©2012-2021  一品教程论坛技术支持:一品素材教程网  站点帮助   ( 蜀ICP备17010416号-1 )|网站地图 | 站点地图| 网站地图 | 网站地图